From post

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed.

 

Другие публикации лиц с тем же именем

0.39-V, 18.26-µW/MHz SOTB CMOS Microcontroller with embedded atom switch ROM., , , , , , , , , и 8 other автор(ы). COOL Chips, стр. 1-3. IEEE Computer Society, (2015)Architecture of Reconfigurable-Logic Cell Array with Atom Switch: Cluster Size & Routing Fabrics (Abstract Only)., , , , , , , , , и . FPGA, стр. 269. ACM, (2015)28nm Atom-Switch FPGA: Static Timing Analysis and Evaluation., , , , , , , , , и 1 other автор(ы). IEICE Trans. Electron., 105-C (10): 627-630 (октября 2022)A 2× logic density Programmable Logic array using atom switch fully implemented with logic transistors at 40nm-node and beyond., , , , , , , , , и 2 other автор(ы). VLSI Circuits, стр. 1-2. IEEE, (2016)ON-state retention of Atom Switch eNVM for IoT/AI Inference Solution., , , , , , , , , и 2 other автор(ы). IRPS, стр. 1-4. IEEE, (2020)Sub-μW standby power, <18 μW/DMIPS@25MHz MCU with embedded atom-switch programmable logic and ROM., , , , , , , , , и . VLSIC, стр. 86-. IEEE, (2015)A chip-stacked memory for on-chip SRAM-rich SoCs and processors., , , , , , , , и . ISSCC, стр. 60-61. IEEE, (2009)Via-Switch FPGA: 65-nm CMOS Implementation and Evaluation., , , , , , , , , и 1 other автор(ы). IEEE J. Solid State Circuits, 57 (7): 2250-2262 (2022)0.5-V Highly Power-Efficient Programmable Logic using Nonvolatile Configuration Switch in BEOL., , , , , , , и . FPGA, стр. 236-239. ACM, (2015)A 171k-LUT Nonvolatile FPGA using Cu Atom-Switch Technology in 28nm CMOS., , , , , , , , , и 1 other автор(ы). FPL, стр. 323-327. IEEE, (2020)