From post

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed.

 

Другие публикации лиц с тем же именем

A highly resilient routing algorithm for fault-tolerant NoCs., , , , , и . DATE, стр. 21-26. IEEE, (2009)A 346 µm 2 VCO-Based, Reference-Free, Self-Timed Sensor Interface for Cubic-Millimeter Sensor Nodes in 28 nm CMOS., , , , и . IEEE J. Solid State Circuits, 49 (11): 2462-2473 (2014)Reconfigurable Multicore Server Processors for Low Power Operation., , , , и . SAMOS, том 5657 из Lecture Notes in Computer Science, стр. 247-254. Springer, (2009)Assessing the performance limits of parallelized near-threshold computing., , , , , , и . DAC, стр. 1147-1152. ACM, (2012)Centip3De: A 64-core, 3D stacked, near-threshold system., , , , , , , , , и 5 other автор(ы). Hot Chips Symposium, стр. 1-30. IEEE, (2012)Bubble Razor: An architecture-independent approach to timing-error detection and correction., , , , , , и . ISSCC, стр. 488-490. IEEE, (2012)Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45 nm CMOS Using Architecturally Independent Error Detection and Correction., , , , , , и . IEEE J. Solid State Circuits, 48 (1): 66-81 (2013)Mixed-signal stochastic computation demonstrated in an image sensor with integrated 2D edge detection and noise filtering., , , , и . CICC, стр. 1-4. IEEE, (2014)A Millimeter-Scale Energy-Autonomous Sensor System With Stacked Battery and Solar Cells., , , , , , , , , и 1 other автор(ы). IEEE J. Solid State Circuits, 48 (3): 801-813 (2013)Low-Power and Compact Analog-to-Digital Converter Using Spintronic Racetrack Memory Devices., , , , , и . IEEE Trans. Very Large Scale Integr. Syst., 25 (3): 907-918 (2017)