Author of the publication

Optimization of Overdrive Signoff in High-Performance and Low-Power ICs.

, , , , and . IEEE Trans. Very Large Scale Integr. Syst., 23 (8): 1552-1556 (2015)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Redefining the Role of the CPU in the Era of CPU-GPU Integration., , , , and . IEEE Micro, 32 (6): 4-16 (2012)Generative self-supervised learning for gate sizing: invited., , , , , and . DAC, page 1331-1334. ACM, (2022)BufFormer: A Generative ML Framework for Scalable Buffering., , , , and . ASP-DAC, page 264-270. ACM, (2023)Machine Learning-Enabled High-Frequency Low-Power Digital Design Implementation At Advanced Process Nodes., and . ISPD, page 83-90. ACM, (2021)A deep learning methodology to proliferate golden signoff timing., , , and . DATE, page 1-6. European Design and Automation Association, (2014)Learning-based prediction of embedded memory timing failures during initial floorplan design., , , , and . ASP-DAC, page 178-185. IEEE, (2016)Optimization of Overdrive Signoff in High-Performance and Low-Power ICs., , , , and . IEEE Trans. Very Large Scale Integr. Syst., 23 (8): 1552-1556 (2015)ORION3.0: A Comprehensive NoC Router Estimation Tool., , and . IEEE Embed. Syst. Lett., 7 (2): 41-45 (2015)Optimizing VLSI Implementation with Reinforcement Learning - ICCAD Special Session Paper., , , , , , , and . ICCAD, page 1-6. IEEE, (2021)Why are Graph Neural Networks Effective for EDA Problems?: (Invited Paper)., , , , and . ICCAD, page 1:1-1:8. ACM, (2022)