Autor der Publikation

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Recent Advances in Compute-in-Memory Support for SRAM Using Monolithic 3-D Integration., , , , und . IEEE Micro, 39 (6): 28-37 (2019)Hardware implementation low power high speed FFT core., und . Int. Arab J. Inf. Technol., 6 (1): 1-6 (2009)Look-Up Table based Energy Efficient Processing in Cache Support for Neural Network Acceleration., , , , , , , und . MICRO, Seite 88-101. IEEE, (2020)CAPE: A Content-Addressable Processing Engine., , , , , , , , und . HPCA, Seite 557-569. IEEE, (2021)Trends and Opportunities for SRAM Based In-Memory and Near-Memory Computation., , , , , , , , , und . ISQED, Seite 547-552. IEEE, (2021)Monolithic-3D Integration Augmented Design Techniques for Computing in SRAMs., , , , , und . ISCAS, Seite 1-5. IEEE, (2019)ROBIN: Monolithic-3D SRAM for Enhanced Robustness with In-Memory Computation Support., , , , , , , , und . IEEE Trans. Circuits Syst. I Regul. Pap., 66-I (7): 2533-2545 (2019)Emerging reconfigurable nanotechnologies: can they support future electronics?, , , , , , , und . ICCAD, Seite 13. ACM, (2018)Design Methodology for Scalable 2.5D/3D Heterogenous Tiled Chiplet Systems., , , , , , , , , und 1 andere Autor(en). ISQED, Seite 1-4. IEEE, (2022)A 93 TOPS/Watt Near-Memory Reconfigurable SAD Accelerator for HEVC/AV1/JEM Encoding., , , , , , , , und . DATE, Seite 1400-1403. IEEE, (2021)