Author of the publication

Multiple-pulse dynamic stability and failure analysis of low-voltage 6T-SRAM bitcells in 28nm UTBB-FDSOI.

, , , , , , , and . ISCAS, page 1452-1455. IEEE, (2013)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

ExPACO: detection of an extended pattern under nonstationary correlated noise by patch covariance modeling., , , , and . EUSIPCO, page 1-5. IEEE, (2019)Ultra low voltage design considerations of SOI SRAM memory cells., and . ISCAS (4), page 4094-4097. IEEE, (2005)Sub-1V, Robust and Compact 6T SRAM cell in Double Gate MOS technology., , and . ISCAS, page 2778-2781. IEEE, (2007)Design, Fabrication and Dynamic Testing of Insect-Inspired Nano Air Vehicles., , , , , , , , and . MIXDES, page 17-22. IEEE, (2023)Resistive memories: Which applications?, , , , , , , , and . DATE, page 1-6. European Design and Automation Association, (2014)OxRAM-based non volatile flip-flop in 28nm FDSOI., , , , , and . NEWCAS, page 141-144. IEEE, (2014)Impact of Random Telegraph Signals on 6T high-density SRAM in 28nm UTBB FD-SOI., , , , and . ESSDERC, page 94-97. IEEE, (2014)Design challenges for nano-scale devices., , , and . ESSDERC, page 69-72. IEEE, (2012)Thermo-mechanical study of a 2.5D passive silicon interposer technology: Experimental, numerical and In-Situ stress sensors developments., , , , , , , , , and 1 other author(s). 3DIC, page 1-7. IEEE, (2013)Cell transformations and physical design techniques for 3D monolithic integrated circuits., , , , and . JETC, 9 (3): 19:1-19:28 (2013)