Autor der Publikation

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

A 17-95.6 TOPS/W Deep Learning Inference Accelerator with Per-Vector Scaled 4-bit Quantization for Transformers in 5nm., , , , , , , und . VLSI Technology and Circuits, Seite 16-17. IEEE, (2022)STAxCache: An approximate, energy efficient STT-MRAM cache., , , , , und . DATE, Seite 356-361. IEEE, (2017)Reading spin-torque memory with spin-torque sensors., , , , und . NANOARCH, Seite 40-41. IEEE Computer Society, (2013)A 0.11 PJ/OP, 0.32-128 Tops, Scalable Multi-Chip-Module-Based Deep Neural Network Accelerator Designed with A High-Productivity vlsi Methodology., , , , , , , , , und 7 andere Autor(en). Hot Chips Symposium, Seite 1-24. IEEE, (2019)VS-Quant: Per-vector Scaled Quantization for Accurate Low-Precision Neural Network Inference., , , , , und . MLSys, mlsys.org, (2021)Low-Precision Training in Logarithmic Number System using Multiplicative Weight Update., , , , , , und . CoRR, (2021)Optimal Clipping and Magnitude-aware Differentiation for Improved Quantization-aware Training., , , , , und . ICML, Volume 162 von Proceedings of Machine Learning Research, Seite 19123-19138. PMLR, (2022)VESPA: Variability emulation for System-on-Chip performance analysis., , , und . DATE, Seite 2-7. IEEE, (2011)DWM-TAPESTRI - an energy efficient all-spin cache using domain wall shift based writes., , , und . DATE, Seite 1825-1830. EDA Consortium San Jose, CA, USA / ACM DL, (2013)SPINDLE: SPINtronic deep learning engine for large-scale neuromorphic computing., , , , und . ISLPED, Seite 15-20. ACM, (2014)