Author of the publication

Low-power programmable-logic cell arrays using nonvolatile complementary atom switch.

, , , , , , and . ISQED, page 330-334. IEEE, (2014)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

0.39-V, 18.26-µW/MHz SOTB CMOS Microcontroller with embedded atom switch ROM., , , , , , , , , and 8 other author(s). COOL Chips, page 1-3. IEEE Computer Society, (2015)Sensor Signal Processing Using High-Level Synthesis With a Layered Architecture., , , , , , , , , and 4 other author(s). IEEE Embed. Syst. Lett., 10 (4): 119-122 (2018)33.3 Via-Switch FPGA: 65nm CMOS Implementation and Architecture Extension for Al Applications., , , , , , , , , and 4 other author(s). ISSCC, page 502-504. IEEE, (2020)A nonvolatile programmable solid-electrolyte nanometer switch., , , , , , , , and . IEEE J. Solid State Circuits, 40 (1): 168-176 (2005)Sub-μW standby power, <18 μW/DMIPS@25MHz MCU with embedded atom-switch programmable logic and ROM., , , , , , , , , and . VLSIC, page 86-. IEEE, (2015)A chip-stacked memory for on-chip SRAM-rich SoCs and processors., , , , , , , , and . ISSCC, page 60-61. IEEE, (2009)Via-Switch FPGA: 65-nm CMOS Implementation and Evaluation., , , , , , , , , and 1 other author(s). IEEE J. Solid State Circuits, 57 (7): 2250-2262 (2022)Fault Diagnosis of Via-Switch Crossbar in Non-volatile FPGA., , , and . DATE, page 983-986. IEEE, (2020)A 171k-LUT Nonvolatile FPGA using Cu Atom-Switch Technology in 28nm CMOS., , , , , , , , , and 1 other author(s). FPL, page 323-327. IEEE, (2020)Low-power programmable-logic cell arrays using nonvolatile complementary atom switch., , , , , , and . ISQED, page 330-334. IEEE, (2014)