Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Solid-Electrolyte Nanometer Switch., , , , , , , , and . IEICE Trans. Electron., 89-C (11): 1492-1498 (2006)0.39-V, 18.26-µW/MHz SOTB CMOS Microcontroller with embedded atom switch ROM., , , , , , , , , and 8 other author(s). COOL Chips, page 1-3. IEEE Computer Society, (2015)Sub-μW standby power, <18 μW/DMIPS@25MHz MCU with embedded atom-switch programmable logic and ROM., , , , , , , , , and . VLSIC, page 86-. IEEE, (2015)A Silicon-on-Thin-Buried-Oxide CMOS Microcontroller with Embedded Atom-Switch ROM., , , , , , , , , and 8 other author(s). IEEE Micro, 35 (6): 13-23 (2015)NanoBridge-Based FPGA in High-Temperature Environments., , , , , , , , , and 7 other author(s). IEEE Micro, 37 (5): 32-42 (2017)33.3 Via-Switch FPGA: 65nm CMOS Implementation and Architecture Extension for Al Applications., , , , , , , , , and 4 other author(s). ISSCC, page 502-504. IEEE, (2020)Via-Switch FPGA: 65-nm CMOS Implementation and Evaluation., , , , , , , , , and 1 other author(s). IEEE J. Solid State Circuits, 57 (7): 2250-2262 (2022)Programmable cell array using rewritable solid-electrolyte switch integrated in 90nm CMOS., , , , , , , , , and 2 other author(s). ISSCC, page 228-229. IEEE, (2011)0.5-V Highly Power-Efficient Programmable Logic using Nonvolatile Configuration Switch in BEOL., , , , , , , and . FPGA, page 236-239. ACM, (2015)Low-power programmable-logic cell arrays using nonvolatile complementary atom switch., , , , , , and . ISQED, page 330-334. IEEE, (2014)