From post

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed.

 

Другие публикации лиц с тем же именем

Multiple-pulse dynamic stability and failure analysis of low-voltage 6T-SRAM bitcells in 28nm UTBB-FDSOI., , , , , , , и . ISCAS, стр. 1452-1455. IEEE, (2013)Scalable 0.35 V to 1.2 V SRAM Bitcell Design From 65 nm CMOS to 28 nm FDSOI., , , , , , , , и . IEEE J. Solid State Circuits, 49 (7): 1499-1505 (2014)28nm FDSOI technology sub-0.6V SRAM Vmin assessment for ultra low voltage applications., , , , , , , , , и 11 other автор(ы). VLSI Circuits, стр. 1-2. IEEE, (2016)A Near-Instantaneous and Non-Invasive Erasure Design Technique to Protect Sensitive Data Stored in Secure SRAMs., , , , , , , , , и 1 other автор(ы). ESSCIRC, стр. 455-458. IEEE, (2021)Storage Class Memory with Computing Row Buffer: A Design Space Exploration., , , , , , , , , и . DATE, стр. 1-6. IEEE, (2021)A 128 kb single-bitline 8.4 fJ/bit 90MHz at 0.3V 7T sense-amplifierless SRAM in 28 nm FD-SOI., , , , , и . ESSCIRC, стр. 429-432. IEEE, (2016)Efficient yield estimation through generalized importance sampling with application to NBL-assisted SRAM bitcells., , , , , , , и . ICCAD, стр. 89. ACM, (2016)Impact of Random Telegraph Signals on 6T high-density SRAM in 28nm UTBB FD-SOI., , , , и . ESSDERC, стр. 94-97. IEEE, (2014)Scalable 0.35V to 1.2V SRAM bitcell design from 65nm CMOS to 28nm FDSOI., , , , , и . ESSCIRC, стр. 205-208. IEEE, (2013)RAPIDO Testing and Modeling of Assisted Write and Read Operations for SRAMs., , , , , , , , и . NATW, стр. 28-33. IEEE, (2016)